An edition of Processor microarchitecture (2011)

Processor microarchitecture

an implementation perspective

  • 0 Ratings
  • 0 Want to read
  • 0 Currently reading
  • 0 Have read
Not in Library

My Reading Lists:

Create a new list

Check-In

×Close
Add an optional check-in date. Check-in dates are used to track yearly reading goals.
Today

  • 0 Ratings
  • 0 Want to read
  • 0 Currently reading
  • 0 Have read

Buy this book

Last edited by ImportBot
June 18, 2022 | History
An edition of Processor microarchitecture (2011)

Processor microarchitecture

an implementation perspective

  • 0 Ratings
  • 0 Want to read
  • 0 Currently reading
  • 0 Have read

This lecture presents a study of the microarchitecture of contemporary microprocessors. The focus is on implementation aspects, with discussions on their implications in terms of performance, power, and cost of state-of-the-art designs. The lecture starts with an overview of the different types of microprocessors and a review of the microarchitecture of cache memories. Then, it describes the implementation of the fetch unit, where special emphasis is made on the required support for branch prediction. The next section is devoted to instruction decode with special focus on the particular support to decoding x86 instructions. The next chapter presents the allocation stage and pays special attention to the implementation of register renaming. Afterward, the issue stage is studied. Here, the logic to implement out-of-order issue for both memory and non-memory instructions is thoroughly described. The following chapter focuses on the instruction execution and describes the different functional units that can be found in contemporary microprocessors, as well as the implementation of the bypass network, which has an important impact on the performance. Finally, the lecture concludes with the commit stage, where it describes how the architectural state is updated and recovered in case of exceptions or misspeculations. This lecture is intended for an advanced course on computer architecture, suitable for graduate students or senior undergrads who want to specialize in the area of computer architecture. It is also intended for practitioners in the industry in the area of microprocessor design. The book assumes that the reader is familiar with the main concepts regarding pipelining, out-of-order execution, cache memories, and virtual memory.

Publish Date
Publisher
Morgan & Claypool
Language
English

Buy this book

Previews available in: English

Edition Availability
Cover of: Processor microarchitecture
Processor microarchitecture: an implementation perspective
2011, Morgan & Claypool
electronic resource : in English

Add another edition?

Book Details


Table of Contents

1. Introduction
Classification of microarchitectures
Pipelines/nonpipelined processors
In-order/out-of-order processors
Scalar/superscalar processors
Vector processors
Multicore processors
Multithreaded processors
Classification of market segments
Overview of a processor
Overview of the pipeline
2. Caches
Address translation
Cache structure organization
Parallel tag and data array access
Serial tag and data array access
Associativity considerations
Lockup-free caches
Implicitly addressed MSHRs
Explicitly addressed MSHRs
In-cache MSHRs
Multiported caches
True multiported cache design
Array replication
Virtual multiporting
Multibanking
Instruction caches
Multiported vs. single ported
Lockup free vs. blocking
Other considerations
3. The instruction fetch unit
Instruction cache
Trace cache
Branch target buffer
Return address stack
Conditional branch prediction
Static prediction
Dynamic prediction
4. Decode
RISC decoding
The x86 ISA
Dynamic translation
High-performance x86 decoding
The instruction length decoder
The dynamic translation unit
5. Allocation
Renaming through the reorder buffer
Renaming through a rename buffer
Merged register file
Register file read
Recovery in case of misspeculation
Comparison of the three schemes
6. The issue stage
Introduction
In-order issue logic
Out-of-order issue logic
Issue process when source operands are read before issue
Issue queue allocation
Instruction wakeup
Instruction selection
Entry reclamation
Issue process when source operands are read after issue
Read port reduction
Other implementations for out-of-order issue
Distributed issue queue
Reservation stations
Issue logic for memory operations
Nonspeculative memory disambiguation
Case study 1. Load ordering and store ordering on an AMD K6 processor
Case study 2. Partial ordering on a MIPS R10000 processor
Speculative memory disambiguation
Case study. Alpha 21264
Speculative wakeup of load consumers
7. Execute
Functional units
The integer arithmetic and logical unit
Integer multiplication and division
The address generation unit
The branch unit
The floating-point unit
The SIMD unit
Result bypassing
Bypass in a small out-of-order machine
Multilevel bypass for wide out-of-order machines
Bypass for in-order machines
Organization of functional units
Clustering
Clustering the bypass network
Clustering with replicated register files
Clustering with distributed issue queue and register files
8. The commit stage
Introduction
Architectural state management
Architectural state based on a retire register file
Architectural state based on a merged register file
Recovery of the speculative state
Recovery from a branch misprediction
Handling branch mispredictions on an ROB-based architecture with RRF
Handling branch mispredictions on a merged register file
Recovery from an exception
References
Author biographies.

Edition Notes

Part of: Synthesis digital library of engineering and computer science.

Series from website.

Includes bibliographical references (p. 101-104).

Abstract freely available; full-text restricted to subscribers or individual document purchasers.

Also available in print.

Mode of access: World Wide Web.

System requirements: Adobe Acrobat Reader.

Published in
San Rafael, Calif. (1537 Fourth Street, San Rafael, CA 94901 USA)
Series
Synthesis lectures on computer architecture -- # 12
Other Titles
Synthesis digital library of engineering and computer science.

Classifications

Dewey Decimal Class
004.22
Library of Congress
QA76.9.A73 G656 2011

The Physical Object

Format
[electronic resource] :

ID Numbers

Open Library
OL27082954M
Internet Archive
processormicroar00gonz
ISBN 13
9781608454532, 9781608454525

Community Reviews (0)

Feedback?
No community reviews have been submitted for this work.

Lists

This work does not appear on any lists.

History

Download catalog record: RDF / JSON / OPDS | Wikipedia citation
June 18, 2022 Edited by ImportBot import existing book
February 25, 2022 Edited by ImportBot import existing book
July 6, 2019 Created by MARC Bot Imported from Internet Archive item record